Icarus Verilog

Icarus Verilog
Icarus Verilog
Операционная система

Кроссплатформенное программное обеспечение

Последняя версия

0.9

Лицензия

GPL

Сайт

icarus.com/eda/verilog/

Icarus Verilog — реализация языка описания аппаратуры Verilog. Он поддерживает версии 1995, 2001 и 2005, частично SystemVerilog и некоторые расширения.

Icarus Verilog доступен для OpenSolaris x86, FreeBSD, Linux, AIX, Windows и Mac OS X. Выпускается под лицензией GNU General Public License.

Версии Icarus 0.9 включает компилятор Verilog с препроцессором и плагинами и виртуальную машину для симуляции.

Ссылки


Wikimedia Foundation. 2010.

Игры ⚽ Поможем написать курсовую

Полезное


Смотреть что такое "Icarus Verilog" в других словарях:

  • Icarus Verilog — infobox software name = Icarus Verilog developer = Stephen Williams operating system = Cross platform genre = Simulator license = GNU General Public License website = http://www.icarus.com/eda/verilog/Icarus Verilog is an implementation of the… …   Wikipedia

  • Icarus (disambiguation) — Icarus, Ikarus, or Ikaros, is a proper noun with a variety of meanings, most deriving from its use in Greek mythology:* Icarus (mythology), the son of Daedalus according to Greek mythologyGeographic features* Ikaros (town), a settlement in Elis… …   Wikipedia

  • Verilog — Класс языка: Язык описания аппаратуры Появился в: 1983 1984 Автор(ы): Phil Moorby, Prabhu Goel Расширение файлов: .v Verilog, Verilog HDL (англ. Verilog Hardwar …   Википедия

  • Verilog — HDL ist neben VHDL die weltweit meistgenutzte Hardwarebeschreibungssprache. Inhaltsverzeichnis 1 Geschichte 2 Funktionsweise 3 Literatur 4 Siehe auch …   Deutsch Wikipedia

  • Icarus — Icarus, Ikarus: Икарус, ныне Икарбус  сербская автобусостроительная, а в прошлом Югославская автобусостроительная и авиастроительная компания Ikarus  автобусостроительная компания, основана в 1895 году в Будапеште, Венгрия. IKARUS… …   Википедия

  • Verilog — In the semiconductor and electronic design industry, Verilog is a hardware description language (HDL) used to model electronic systems. Verilog HDL , not to be confused with VHDL, is most commonly used in the design, verification, and… …   Wikipedia

  • List of Verilog simulators — Verilog simulators are software packages that emulate the Verilog hardware description language. Verilog simulation software has come a long way since its early origin as a single proprietary product offered by one company. Today, Verilog… …   Wikipedia

  • Logic simulation — is the use of a computer program to simulate the operation of a digital circuit. Logic simulation is the primary tool used for verifying the logical correctness of a hardware design. In many cases logic simulation is the first activity performed… …   Wikipedia

  • Quite Universal Circuit Simulator — Infobox Software name = Quite Universal Circuit Simulator http://qucs.sourceforge.net/images/qucslogo4.pngQucs logo] caption = developer = Michael Margraf latest release version = 0.0.14 latest release date = 2008 04 10 latest preview version =… …   Wikipedia

  • Comparison of EDA software — Comparison of Electronic Design Automation (EDA) software Contents 1 Free and Open Source Software (FOSS) 2 Proprietary software 3 Comparison of EDA packages 4 See …   Wikipedia


Поделиться ссылкой на выделенное

Прямая ссылка:
Нажмите правой клавишей мыши и выберите «Копировать ссылку»